my $dlen = int((7 + length($_[0]) * 7)/8); substr($_[0], $dlen) = ''; vec ($_[0], $dbit++, 1) = 0 while ($dbit < $dlen * 8); }